Photolithography

1820

In the 1820s, Nicephore Niepce invented a photographic process that used Bitumen of Judea, a natural asphalt, as the first photoresist.

1940

In 1940, Oskar Süß created a positive photoresist by using diazonaphthoquinone, which worked in the opposite manner: the coating was initially insoluble and was rendered soluble where it was exposed to light.

1952

developed the Dycryl polymeric letterpress plate, which made the platemaking process faster. In 1952, the U.S.

1954

In 1954, Louis Plambeck Jr.

1960

From the early 1960s through the mid-1980s, Hg lamps had been used in lithography for their spectral lines at 436 nm ("g-line"), 405 nm ("h-line") and 365 nm ("i-line").

From an even broader scientific and technological perspective, in the 50-year history of the laser since its first demonstration in 1960, the invention and development of excimer laser lithography has been recognized as a major milestone. The commonly used deep ultraviolet excimer lasers in lithography systems are the krypton fluoride (KrF) laser at 248 nm wavelength and the argon fluoride laser (ArF) at 193 nm wavelength.

1980

The primary manufacturers of excimer laser light sources in the 1980s were Lambda Physik (now part of Coherent, Inc.) and Lumonics.

For instance, by the early 1980s, many in the semiconductor industry had come to believe that features smaller than 1 micron could not be printed optically.

1990

With the rapid advances made in tool technology in the last two decades, it is the semiconductor industry view that excimer laser lithography has been a crucial factor in the continued advance of Moore's Law, enabling minimum features sizes in chip manufacturing to shrink from 800 nanometers in 1990 to 7 nanometers in 2018.

2006

In 2006, features less than 30 nm were demonstrated by IBM using this technique. UV excimer lasers have been demonstrated to about 126 nm (for Ar2*).

2016

However, this is expected by 2016.

2018

With the rapid advances made in tool technology in the last two decades, it is the semiconductor industry view that excimer laser lithography has been a crucial factor in the continued advance of Moore's Law, enabling minimum features sizes in chip manufacturing to shrink from 800 nanometers in 1990 to 7 nanometers in 2018.

2020

As of 2020, EUV is in mass production use by leading edge foundries such as Samsung. Theoretically, an alternative light source for photolithography, especially if and when wavelengths continue to decrease to extreme UV or X-ray, is the free-electron laser (or one might say xaser for an X-ray device).




All text is taken from Wikipedia. Text is available under the Creative Commons Attribution-ShareAlike License .

Page generated on 2021-08-05